article thumbnail

TSMC: We have 50% of All EUV Installations, 60% Wafer Capacity

Anand Tech

One of the overriding central messages to TSMC’s Technology Symposium this week is that the company is a world leader in semiconductor manufacturing, especially at the leading edge process technology. The only company that makes EUV machines is ASML, and the company publically announces how many machines it sells each year.